Welcome![Sign In][Sign Up]
Location:
Search - simple uart

Search list

[Other resource一个简单的UART

Description: 采用VHDL编写的一个简单的UART-using VHDL prepared a simple UART
Platform: | Size: 3654 | Author: 陈旭 | Hits:

[VHDL-FPGA-Verilog一个简单的UART

Description: 采用VHDL编写的一个简单的UART-using VHDL prepared a simple UART
Platform: | Size: 3072 | Author: 陈旭 | Hits:

[VHDL-FPGA-VerilogISE_uart

Description: 自己在ISE下用VHDL写的UART,简单,易懂-in ISE using VHDL was the UART, simple, understandable
Platform: | Size: 936960 | Author: sk | Hits:

[GPS developLPC2000RW_EE

Description: 一个简单得UART软件哈哈哈好用得很啊,啦啦拉需要马上下载-a simple UART software in very handy ah ho, cheerleader Rafah needs immediate download
Platform: | Size: 6144 | Author: cocotte | Hits:

[SCMuart_test_msp430

Description: This a simple hardware UART test program. It receives text lines over the serial port and writes back a status msg with length and contents of the buffer.-This a simple UART hardware test program . It receives text lines over the serial port and writes back with a status msg length and content 's of the buffer.
Platform: | Size: 8192 | Author: 皮鹏 | Hits:

[Linux-UnixUART

Description: 自己用VHDL写的一个串口程序,调试成功,并且用到了项目中,希望初学者可以借鉴下-Their use VHDL to write a serial program, debug the success of the project and used in the hope that beginners can learn from the next
Platform: | Size: 306176 | Author: yanglei | Hits:

[SCMUART

Description: nec78f9222异步通讯程序,C语言写的,虽然很简单,但对初学者还是有一点帮助的.-nec78f9222 asynchronous communication procedures, C language, although very simple, but for beginners or a little help.
Platform: | Size: 20480 | Author: 马贵 | Hits:

[Other Embeded programuart

Description: 实现简单的UART功能,在QUARTUS4.0下编译通过,采用VERILOG HDL编写.-Simple UART functions in the compiler under QUARTUS4.0 through using VERILOG HDL preparation.
Platform: | Size: 1024 | Author: 不是 | Hits:

[SCMUART

Description: C8051F异步串口操作程序,附简单例子。-C8051F asynchronous serial operation, with a simple example.
Platform: | Size: 39936 | Author: jiangmin | Hits:

[Com PortUART

Description: 用于串口通信的接收与发送(一次可传8位数据),程序比较简单,适合初学者参考.-Serial communication for receiving and sending (one 8-bit data be transmitted), the procedure is relatively simple, suitable for beginners reference.
Platform: | Size: 231424 | Author: 何林军 | Hits:

[VHDL-FPGA-VerilogUART

Description: 串行接口UART的用VHDL语言的简单实现,希望对大家有帮助-UART serial interface of the VHDL language with the simple realization, in the hope that everyone has to help
Platform: | Size: 3072 | Author: wangyd | Hits:

[VHDL-FPGA-VerilogUART1

Description: 自己编写的UART模块用VHDL实现,简单实用-I have written UART modules use VHDL realization of simple and practical
Platform: | Size: 3072 | Author: L | Hits:

[ARM-PowerPC-ColdFire-MIPSUart

Description: ARM7的UART驱动程序 可以自由配置缓存大小 驱动函数集 以及接口简单 很适合初学者提高自己的驱动开发能力的参考程序 产品级别的代码 注释很详尽 但需要自己提供IRQ部分的初始化部分程序 本作者不提供 程序为原创-ARM7-UART driver can configure the cache size of the drive free set of functions and simple interface is suitable for beginners to improve their ability to drive the development of reference procedures for product-level code is very detailed notes, but some need to provide their own IRQ initialization part of the process of this author does not provide procedures for the original
Platform: | Size: 7168 | Author: hncscj | Hits:

[VHDL-FPGA-VerilogUART

Description: 简单的uart状态机的编写,作为课程设计的资料,适于入门-UART simple state machine to prepare, as a curriculum design information, suitable for entry-
Platform: | Size: 587776 | Author: 李欣 | Hits:

[VHDL-FPGA-VerilogUART

Description: 简易UART程序 verilog 描述-Simple UART procedure described in verilog
Platform: | Size: 18432 | Author: pan | Hits:

[VHDL-FPGA-Verilogminiuart.tar

Description: 用VHDL描述的简单UART接口,能正确实现简单的功能-VHDL description with a simple UART interface
Platform: | Size: 6144 | Author: elvis | Hits:

[VHDL-FPGA-Veriloguart-vhdl-testbench

Description: simple uart vhdl behavioural model (package) vhdl testbench example
Platform: | Size: 2048 | Author: Mark | Hits:

[Embeded-SCM Developuart

Description: ATmega16串口通信,进行简单的UART数据传送,双机通信,在ICCAVR下编译-ATmega16 serial communication, a simple UART data transmission, two-machine communication, compiled in ICCAVR
Platform: | Size: 32768 | Author: 徐飞 | Hits:

[VHDL-FPGA-VerilogUART

Description: This vhdl code has a simple implementation of an UART receiver. This code was generated march 2011 as a universuty project
Platform: | Size: 1024 | Author: plcpe | Hits:

[VHDL-FPGA-Verilogsimple-uart

Description: 书写的简单串口通信,可用于FPGA,与电脑连接,测试可用。-a simple uart communication,it can be used in FPGA,it can communicate PC to the FPGA by this code.
Platform: | Size: 1024 | Author: lee | Hits:
« 12 3 4 5 6 7 8 9 10 ... 13 »

CodeBus www.codebus.net